From
Send to

KLA Unveils Comprehensive IC Substrate Portfolio for a New Era of Advanced Semiconductor Packaging

Oct. 16, 2024 - 05:50 By PRNEWSWIRE
  • New portfolio drives chip performance with panel-based interconnect innovation
  • KLA is extending the capability of the proven Corus™ direct imaging platform and introducing the Serena™ direct imaging platform to support mainstream and advanced IC substrate lithography requirements
  • New Lumina™ inspection and metrology systems further equip IC substrate (including glass core) and panel-based interposer makers to efficiently build advanced products with high quality and yield

MILPITAS, Calif., Oct. 16, 2024 /PRNewswire/ -- Today KLA Corporation (NASDAQ: KLAC) introduced the industry's widest breadth of process control and process-enabling solutions for IC substrate (ICS) manufacturing. KLA's combined expertise in front-end semiconductors, packaging and IC substrates will help customers achieve breakthroughs in packaging interconnect density for chips targeting high-performance applications.

KLA Corporation now offers the industry’s widest breadth of process control and process-enabling solutions for IC substrate manufacturing. As innovation accelerates for panel-based intermediate packaging levels like IC substrates and interposers, customers require new solutions to achieve breakthroughs in packaging interconnect density for chips targeting high-performance applications.
KLA Corporation now offers the industry’s widest breadth of process control and process-enabling solutions for IC substrate manufacturing. As innovation accelerates for panel-based intermediate packaging levels like IC substrates and interposers, customers require new solutions to achieve breakthroughs in packaging interconnect density for chips targeting high-performance applications.

Advanced packaging continues to adopt heterogeneous integration methods to bring together multiple semiconductor components for performance, power and cost benefits. To meet evolving interconnect requirements, innovation is accelerating for panel-based intermediate packaging levels like IC substrates and interposers. These technologies are used to efficiently connect chips and printed circuit boards (PCBs). As package dimensions increase, feature sizes decrease and novel materials such as glass are introduced, manufacturers can leverage KLA's solution portfolio to achieve higher yield, accelerate delivery cycles and improve overall profitability.

KLA's comprehensive portfolio of direct imaging (DI), defect inspection, shaping, metrology, chemistry process control and intelligent software solutions optimizes the advanced packaging manufacturing workflow.

KLA's portfolio includes multiple direct imaging solutions supporting a range of customer lithography requirements. Market adoption of the Corus™ direct imaging platform demonstrates its proven capability at providing a highly flexible and efficient imaging solution. To meet evolving needs for applications like IC substrates and next-gen high-density interconnect (HDI), the capability is being extended with next-generation optics and lasers to optimize dynamic imaging and layer-to-layer accuracy at speed, even for varying panel topographies.

For advanced IC substrate applications, direct imaging represents a new category beyond steppers for lithography. KLA is introducing the new Serena™ direct imaging platform for quality, finer line patterning of large-sized, high layer count organic substrates for increased accuracy and yield with the efficiency of a flexible digital solution.

Lumina™, KLA's new inspection and metrology system for advanced IC substrates (including glass core) and panel-based interposers, enables high-sensitivity detection and scanning metrology at optimized cost of ownership. The system delivers monitoring paired with AI-based review and classification for an actionable defect Pareto chart without the need for operator input, as well as a seamless integration with KLA's copper shaping solutions.

The portfolio is strengthened with proven KLA process control solutions featuring the Orbotech Ultra PerFix™, EcoNet™, Zeta™-6xx, ICOS™ T890, Quali-Fill® Libra® and QualiLab® Elite product lines. KLA's Frontline software solutions span engineering, computer-aided manufacturing (CAM) and production data analytics to centralize and apply intelligence throughout IC substrate manufacturing, building on KLA's longtime leadership in yield management.

"With today's portfolio news, KLA is affirming our leadership in semiconductor ecosystem innovation," said Oreste Donzella, executive vice president and chief strategy officer, KLA Corporation. "IC substrates and other panel-level packaging technologies are essential to advancing connectivity within tomorrow's high-performance chips, and KLA is collaboratively engaged with customers in solving complex production challenges to maximize their yield and business success."

For more information about KLA's comprehensive portfolio of IC substrate solutions, join us at the upcoming TPCA tradeshow.

About KLA
KLA Corporation ("KLA") develops industry-leading equipment and services that enable innovation throughout the electronics industry. We provide advanced process control and process-enabling solutions for manufacturing wafers and reticles, integrated circuits, packaging and printed circuit boards. In close collaboration with leading customers across the globe, our expert teams of physicists, engineers, data scientists and problem-solvers design solutions that move the world forward. Investors and others should note that KLA announces material financial information including SEC filings, press releases, public earnings calls and conference webcasts using an investor relations website (ir.kla.com). Additional information may be found at: www.kla.com.

Forward-Looking Statements
Statements in this press release other than historical facts, such as statements regarding the expected performance of the IC substrate product portfolio are forward-looking statements, and are subject to the Safe Harbor provisions created by the Private Securities Litigation Reform Act of 1995. These forward-looking statements are based on current information and expectations and involve risks and uncertainties. Actual results may differ materially from those projected in such statements due to various factors, including delays in the adoption of new technologies (whether due to cost or performance issues or otherwise), the introduction of competing products by other companies or unanticipated technology challenges or limitations that affect the implementation, performance or use of KLA's products, and other risk factors included in KLA's annual report on Form 10-K for the year ended June 30, 2024 and other filings by KLA with the Securities and Exchange Commission (including, without limitation, the risk factors described therein). KLA assumes no obligation to, and do not currently intend to, update these forward-looking statements.